Serial in Serial out Shift Register (SISO)

From the name Serial in Serial out Shift Register (SISO), it is obvious that this type of register accepts data serially, one bit at a time at the single input line, and shifted to next flip flop serially. The output is also obtained on a single output line in a same serial fashion. Now depending upon …

Serial in Serial out Shift Register (SISO) Read More »